x
Journals    

TECS

(50) Hardware Trojan Detection Using Machine Learning: A Tutorial”.
Kevin Immanuel Gubbi, Banafsheh Saber Latibari, Anirudh Srikanth, Tyler Sheaves, Sayed Arash Beheshti-Shirazi, Sai Manoj Pd, Satareh Rafatirad, Avesta Sasan, Houman Homayoun, Soheil Salehi.
.

TOMPECS

(49) Adaptive performance modeling of data-intensive workloads for resource provisioning in virtualized environment”.
Hosein Mohamamdi Makrani, Hossein Sayadi, Najmeh Nazari, Sai Mnoj Pudukotai Dinakarrao, Avesta Sasan, Tinoosh Mohsenin, Setareh Rafatirad, Houman Homayoun.
ACM Transactions on Modeling and Performance Evaluation of Computing Systems (TOMPECS), Vol. 5, No. 4, 1-24..

TCAD

(48) Imitating functional operations for mitigating side-channel leakage”.
Abhijitt Dhavlle, Setareh Rafatirad, Khaled Khasawneh, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao.
al IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 41, No. 4.

TVLSI

(47) Cyclic sparsely connected architectures for compact deep convolutional neural networks”.
Morteza Hosseini, Nitheesh Kumar Manjunath, Bharat Prakash, Arnab Mazumder, Vandana Chandrareddy, Houman Homayoun, Tinoosh Mohsenin.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 29.10 (2021): 1757-1770..

Cryptography

(46) Towards accurate run-time hardware-assisted stealthy malware detection: a lightweight, yet effective time series CNN-based approach”.
Hossein Sayadi, Yifeng Gao, Hosein Mohammadi Makrani, Jessica Lin, Paulo Cesar Costa, Setareh Rafatirad, Houman Homayoun.
Cryptography 5.4 (2021): 28..

JETCAS

(45) Enabling Micro AI for Securing Edge Devices at Hardware Level”.
Han Wang, Hossein Sayadi, Sai Manoj Pudukotai Dinakarrao, Avesta Sasan, Setareh Rafatirad, Houman Homayoun.
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 11.4 (2021): 803-815..

SSC-M

(44) Cyclic Sparsely Connected Architectures: From foundations to applications”.
Morteza Hosseini, Nitheesh Manjunath, Uttej Kallakuri, Hamid Mahmoodi, Houman Homayoun, Tinoosh Mohsenin.
IEEE Solid-State Circuits Magazine 13.4 (2021): 64-76..

JETCAS

(43) Guest Editorial Cross-Layer Designs, Methodologies, and Systems to Enable Micro AI for On-Device Intelligence”.
Tinoosh Mohsenin, Inna Partin-Vaisband, Houman Homayoun, Jae-Sun Seo, Xin Zhang.
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 11.4 (2021): 527-531..

TCAD

(42) A Neural Network-based Cognitive Obfuscation Towards Enhanced Logic Locking”.
Rakibul Hassan, Gaurav Kolhe, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (2021)..

COVID

(41) Scalable and Demography-Agnostic Confinement Strategies for COVID-19 Pandemic with Game Theory and Graph Algorithms”.
Sreenitha Kasarapu, Rakibul Hassan, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao.
COVID 2.6 (2022): 767-792..

TODAES

(40) Breaking the Design and Security Trade-off of Look-up-table–based Obfuscation”.
Gaurav Kolhe, Tyler David Sheaves, Hamid Mahmoodi, Setareh Rafatirad, Avesta Sasan, Houman Homayoun.
ACM Transactions on Design Automation of Electronic Systems (TODAES) 27.6 (2022): 1-29..

TCAD

(39) Imitating functional operations for mitigating side-channel leakage”.
Abhijitt Dhavlle, Setareh Rafatirad, Khaled Khasawneh, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD 2021).

IEEEDesign & Test

(38) A Hardware Accelerator for Language Guided Reinforcement Learning”.
Aidin Shiri, Arnab Neelim Mazumder, Bharat Prakash, Houman Homayoun, Nicholas R Waytowich, Tinoosh Mohsenin.
IEEE Design & Test, 2021.

Frontiers in big Data

(37) Deep Graph Learning for Circuit Deobfuscation”.
Zhiqian Chen, Lei Zhang, Gaurav Kolhe, Hadi Mardani Kamali, Setareh Rafatirad, Sai Manoj Pudukotai Dinakarrao, Houman Homayoun, Chang-Tien Lu, Liang Zhao.
Frontiers in big Data, Volume 4, Frontiers Media SA, 2021.

IEEE Access

(36) From Cryptography to Logic Locking: A Survey on the Architecture Evolution of Secure Scan Chains”.
Kimia Zamiri Azar, Hadi Mardani Kamali, Houman Homayoun, Avesta Sasan:.
IEEE Access 9: 73133-73151 (2021).

IEEE Access

(35) AVATAR: NN-Assisted Variation Aware Timing Analysis and Reporting for Hardware Trojan Detection.”.
Ashkan Vakil, Ali Mirzaeian, Houman Homayoun, Naghmeh Karimi, Avesta Sasan:.
IEEE Access 9: 92881-92900 (2021).

IEEE Design & Test

(34) Automatic Detection of Respiratory Symptoms Using a Low Power Multi-Input CNN Processor”.
Arnab Neelim Mazumder, Haoran Ren, Hasib-Al Rashid, Morteza Hosseini, Vandana Chandrareddy, Houman Homayoun, Tinoosh Mohsenin.
IEEE Design & Test, 2021.

KAIS

(33) Deep graph transformation for attributed, directed, and signed networks”.
Xiaojie Guo, Liang Zhao, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao:.
Springer Knowledge and Information Systems (KAIS). 63(6): 1305-1337 (2021).

ToMPECS

(32) Adaptive Performance Modeling of Data-intensive Workloads for Resource Provisioning in Virtualized Environment.”.
Hosein Mohammadi Makrani, Hossein Sayadi, Najmeh Nazari, Sai Manoj Pudukotai Dinakarrao, Avesta Sasan, Tinoosh Mohsenin, Setareh Rafatirad, Houman Homayoun.
ACM Transactions on Modeling and Performance Evaluation of Computing Systems 5(4): 18:1-18:24 (ToMPECS 2021).

TVLSI

(31) Data Flow Obfuscation: A New Paradigm for Obfuscating Circuits”.
Kimia Zamiri Azar, Hadi Mardani Kamali, Shervin Roshanisefat, Houman Homayoun, Christos P. Sotiriou, Avesta Sasan.
IEEE Trans. Very Large Scale Integr. Syst. 29(4): 643-656 (2021).

BOOK Chapter

(30) Iterative convolutional neural network (ICNN): an iterative CNN solution for low power and real-time systems”.
Katayoun Neshatpour, Houman Homayoun, Avesta Sasan.
Hardware Architectures for Deep Learning, page 191, Institution of Engineering and Technology.

TVLSI

(29) SAT-Hard Cyclic Logic Obfuscation for Protecting the IP in the Manufacturing Supply Chain”.
Shervin Roshanisefat, Hadi Mardani Kamali, Houman Homayoun, Avesta Sasan.
IEEE Trans. Very Large Scale Integration Systems 28(4): 954-967 (2020).

IEEE-ACCESS

(28) Cognitive and Scalable Technique for Securing IoT Networks Against Malware Epidemics”.
Sai Manoj Pudukotai Dinakarrao, Xiaojie Guo, Hossein Sayadi, Cameron Nowzari, Avesta Sasan, Setareh Rafatirad, Liang Zhao, Houman Homayoun.
IEEE Access 8: 138508-138528 (2020).

TECS

(27) ICNN: The Iterative Convolutional Neural Network”.
Katayoun Neshatpour, Houman Homayoun, Avesta Sasan.
IEEE Transaction on Embedded Computing Systems (TECS 2020).

JETC

(26) Application and Thermal-reliability-aware Reinforcement Learning Based Multi-core Power Management”.
Sai Manoj Pudukotai Dinakarrao, Arun Joseph, Anand Haridass, Muhammad Shafique, Jörg Henkel, Houman Homayoun.
ACM Journal on Emerging Technologies in Computing Systems, Volume 15, Issue 4 (JETC 2019).

TCHES

(25) SMT Attack: Next Generation Attack on Obfuscated Circuits with Capabilities and Performance Beyond The SAT Attacks”.
Kimia Zamiri Azar, Hadi Mardani Kamali, Avesta Sasan, Houman Homayoun.
IACR Transactions on Cryptographic Hardware and Embedded Systems, Volume 29 (CHES 2019).

TODAES

(24) Programmable Gates Using Hybrid CMOS-STT Design to Prevent IC Reverse Engineering”.
Theodore Winograd, Hassan Salmani, Hamid Mahmoodi, Kris Gaj, Houman Homayoun.
ACM Transactions on Design Automation of Electronic Systems, Special issue on Internet of Things System Performance, Reliability, and Security, 2018 (TODAES).

TOMPECS

(23) System and Architecture Level Characterization of Big Data Applications on Big and Little Core Server Architectures”.
Maria Malik, Katayoun Neshatpour, Setareh Rafatirad, Houman Homayoun.
ACM Transactions on Modeling and Performance Evaluation of Computing Systems, 2018 (TMSCS).

TMSCS

(22) TMSCS2017katayoun.pdf”.
Katayoun Neshatpour, Maria Malik, Houman Homayoun.
IEEE Transactions on Multi-Scale Computing Systems, 2018 (TMSCS).

TODAES

(21) Optimal Allocation of Computation and Communication in an IoT Network”.
Abhimanyu Chopra, Hakan Aydin, Setareh Rafatirad, Houman Homayoun..
ACM Transactions on Design Automation of Electronic Systems, Special issue on Internet of Things System Performance, Reliability, and Security, 2018 (TODAES).

TDSC

(20) Specialized Hardware-Supported Malware Detection Using Machine Learning Techniques”.
Hossein Sayadi, Nisarg Patel and Houman Homayoun.
IEEE Transactions on Dependable and Secure Computing, 2018 (TDSC).

TVLSI

(19) Enhancing Power, Performance, and Energy-efficiency in Chip Multiprocessors Exploiting Inverse Thermal Dependence”.
Katayoun Neshatpour, Wane Bfile_nameeson, Amin Khajeh, Houman Homayoun.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2018.

JPDC

(18) Big vs Little Core for Energy-Efficient Hadoop Computing”.
Maria Malik, Katayoun Neshatpour, Setareh Rafatirad, Rajiv V Joshi, Houman Homayoun.
Elsevier Journal of Parallel and Distributed Computing, Special Issue on Systems for Learning, Inferencing, and Discovering (JPDC).

TMSCS

(17) Hadoop Workloads Characterization for Performance and Energy Efficiency Optimizations on Microservers”.
Maria Malik, Katayoun Neshatpour, Setareh Rafatirad, Houman Homayoun.
IEEE Transactions on Multi-Scale Computing Systems, 2017 (TMSCS).

TVLSI

(16) ElasticCore: A Dynamic Heterogeneous Platform with Joint Core and Voltage/Frequency Scaling”.
Mohammad Khavari Tavana, Mohammad Hajkazemi, Divya Pathak, Ioannis Savidis, Houman Homayoun.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2017 (TVLSI).

JPDC

(15) Energy-Efficient Acceleration of MapReduce Applications Using FPGAs”.
Katayoun Neshatpour, Maria Malik, Houman Homayoun.
Elsevier Journal of Parallel and Distributed Computing, Special Issue on Systems for Learning, Inferencing, and Discovering (JPDC), 2018.

JETC

(14) Heterogeneous HMC+DDRx Memory Management for Performance-Temperature Trade-offs”.
Mohammad Hossein Hajkazemi, Mohammad Khavari Tavana, Tinoosh Mohsein, Houman Homayoun.
ACM Journal on Emerging Technologies in Computing, 2017 (JETC).

TVLSI

(13) Sparse Regression Driven Mixture Important Sampling for Memory Design”.
Maria Malik, Rajiv Joshi, Rouwaida Kanj, Shupeng Sun, Houman Homayoun, Tong Li.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2017 (TVLSI).

TVLSI

(12) An Energy Efficient Programmable Manycore Accelerator for Personalized Biomedical Applications”.
Adam Page, Adwaya Kulkarni, Nasrin Attaran, Ali Jafari, Maria Malik, Houman Homayoun, and Tinoosh Mohsenin.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2017 (TVLSI).

TECS

(11) Low Overhead CS-based Heterogeneous Framework for Big Data Acceleration”.
Amey Kulkarni, Houman Homayoun, Tinoosh Mohsenin.
ACM Transactions on Embedded Computing Systems, 2017 (TECS).

TVLSI

(10) SMART GRID ON CHIP: Work Load Balanced On-Chip Power Delivery”.
Divya Pathak, Houman Homayoun, Ioannis Savidis.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2017 (TVLSI).

MR

(9) Reliability Analysis of Spin Transfer Torque Based Look up Tables Under Process Variations and NBTI Aging”.
Ragh Kuttappa, Houman Homayoun, Hassan Salmani, Hamid Mahmoodi.
Elsevier Microelectronics Reliability, Volume 62, 2016.

TECS

(8) Using a Flexible Fault-Tolerant Cache to Improve Reliability for Ultra Low Voltage Operation”.
Abbas Banaiyanmofrad, Houman Homayoun, Nikil Dutt.
ACM Transaction on Embedded Computing. 2015.

CAL

(7) Resistive Computation: A Critique”.
Hamid Mahmoodi, Sridevi Srinivasan Lakshmipuram, Manish Arora, Yashar Asgarieh, Houman Homayoun, Bill Lin and Dean M.Tullsen..
IEEE Computer Architecture Letters, DOI 10.1109/ 2014.

TECS

(6) Multi-Copy Cache: A Highly Energy Efficient Cache Architecture”.
Arup Chakraborty, Houman Homayoun, Amin Khejah, Nikil Dutt, Ahmed Eltawil, Fadi Kurdahi.
ACM Transactions on Embedded Computing Systems (TECS), 2014.

TVLSI

(5) Variation Trained Drowsy Cache (VTD-Cache): A History Trained Variation Aware Drowsy Cache for Fine Grain Voltage Scaling”.
Avesta Makhzan, Kiarash Amiri, Houman Homayoun, Ahmed Eltawil, Fadi J. Kurdahi..
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2012 (TVLSI). VOL. 20, Issue 4, pp: 630-642. April 2012).

TVLSI

(4) Reducing Power in All Major CAM and SRAM Based Processor Units via Centralized, Dynamic Resource Size Management”.
Houman Homayoun, Avesta Sasan, Alex Veidenbaum, Jean-Luc Gaudiot..
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, VOL. 19, NO. 11, NOVEMBER 2011..

TVLSI

(3) MZZ-HVS: Multi Modes Zig-Zag Horizontal and Vertical Sleep Transistor Sharing to Reduce Leakage Power in On-Chip SRAM Peripheral Circuits”.
Houman Homayoun, Avesta Sasan and Alex Veidenbaum, Hsin-Cheng Yao, Shahin Golshan, Payam Heydari..
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, (TVLSI), (TVLSI), VOL. 19, NO. 12, DECEMBER 2011..

JSC

(2) On Leakage Power Optimization in Clock Tree Networks for ASICs and General-Purpose Processors”.
Homayoun, Shahin Golshan, Eli Bozorgzadeh, Alex Veidenbaum, Fadi Kurdahi..
Elsevier Journal of Sustainable Computing, Volume 1, Issue 1, March 2011, Pages 75-87 (Invited paper).

TVLSI

(1) Inquisitive Defect Cache: A Means of Combating Manufacturing Induced Process Variation”.
Avesta Makhzan, Houman Homayoun, Ahmed Eltawil, Fadi J. Kurdahi..
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, (TVLSI), VOL. 19, NO. 9, SEPTEMBER 2011..
Conferences    
2023
CHASE
(182) "Real-Time Bladder Monitoring by Bio-Impedance Analysis to Aid Urinary Incontinence".
Ruoyu Zhang, Ruijie Fang, Zhichao Zhang, Elahe Hosseini, Mahdi Orooji, Houman Homayoun, Gozde Goncu-Berk. .
NDSS
(181) "HeteroScore: Evaluating and Mitigating Cloud Security Threats Brought by Heterogeneity".
Chongzhou Fang, Najmeh Nazari, Behnam Omidi, Han Wang, Aditya Puri, Manish Arora, Setareh Rafatirad, Houman Homayoun and Khaled N. Khasawneh. .
2022
BIBM
(180) "Prevent Over-fitting and Redundancy in Physiological Signal Analyses for Stress Detection".
Ruijie Fang, Ruoyu Zhang, Elahe Hosseini, Anna M Parenteau, Sally Hang, Setareh Rafatirad, Camelia E Hostinar, Mahdi Orooji, Houman Homayoun. .
BIBM
(179) "A Low Cost EDA-based Stress Detection Using Machine Learning".
Elahe Hosseini, Ruijie Fang, Ruoyu Zhang, Anna Parenteau, Sally Hang, Setareh Rafatirad, Camelia Hostinar, Mahdi Orooji, Houman Homayoun. .
BIBM
(178) "Towards Generalized ML Model in Automated Physiological Arousal Computing: A Transfer Learning-Based Domain Generalization Approach".
Ruijie Fang, Ruoyu Zhang, Elahe Hosseini, Anna M Parenteau, Sally Hang, Setareh Rafatirad, Camelia E Hostinar, Mahdi Orooji, Houman Homayoun. .
ICRERA
(177) "Machine Learning based Malware Detection for Secure Smart Grids".
Kevin Immanuel Gubbi, Han Wang, Hossein Sayadi, Houman Homayoun. .
ICCD
(176) "Breakthrough to Adaptive and Cost-Aware Hardware-Assisted Zero-Day Malware Detection: A Reinforcement Learning-Based Approach".
Zhangying He, Hosein Mohammadi Makrani, Setareh Rafatirad, Houman Homayoun, Hossein Sayadi. .
ICCD
(175) "Iron-Dome: Securing IoT Networked Systems at Runtime by Network and Device Characteristics to Confine Malware Epidemics".
Sanket Shukla, Abhijitt Dhavlle, Sai Manoj PD, Houman Homayoun, Setareh Rafatirad. .
NDSS
(174) "FANDEMIC: Firmware Attack Construction and Deployment on Power Management Integrated Circuit and Impacts on IoT Applications".
Ryan Tsang, Doreen Joseph, Asmita, Soheil Salehi, Nadir Carreon, Prasant Mohapatra, and Houman Homayoun. Network and Distributed System Security 2022 (NDSS'22) .
NDSS
(173) "REPTTACK: Exploiting Cloud Schedulers to Guide Co-Location Attacks".
Chongzhou Fang, Han Wang, Najmeh Nazari, Behnam Omidi, Avesta Sasan, Khaled N. Khasawneh, Setareh Rafatirad, and Houman Homayoun. Network and Distributed System Security 2022 (NDSS'22) .
DATE
(172) "Stealthy inference attack on DNN via cache-based side-channel attacks".
Han Wang, Syed Mahbub Hafiz, Kartik Patwari, Chen-Nee Chuah, Zubair Shafiq, Houman Homayoun. Design, Automation & Test in Europe Conference & Exhibition 2022 (DATE'22).
DATE
(171) "CR-spectre: defense-aware ROP injected code-reuse based dynamic spectre".
Abhijitt Dhavlle, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao. Design, Automation & Test in Europe Conference & Exhibition 2022 (DATE'22).
IMIP
(170) "Pain Level Modeling of Intensive Care Unit patients with Machine Learning Methods: An Effective Congeneric Clustering-based Approach".
Ruijie Fang, Ruoyu Zhang, Sayed M Hosseini, Mahya Faghih, Soheil Rafatirad, Setareh Rafatirad, Houman Homayoun. 2022 4th International Conference on Intelligent Medicine and Image Processing (IMIP'22).
ISQED
(169) "Ali Mirzaeian, Zhi Tian, Sai Manoj PD, Banafsheh S Latibari, Ioannis Savidis, Houman Homayoun, Avesta Sasan".
Ali Mirzaeian, Zhi Tian, Sai Manoj PD, Banafsheh S Latibari, Ioannis Savidis, Houman Homayoun, Avesta Sasan. 2022 23rd International Symposium on Quality Electronic Design (ISQED'22).
GLSVLSI
(168) "RAFeL-Robust and Data-Aware Federated Learning-inspired Malware Detection in Internet-of-Things (IoT) Networks".
Sanket Shukla, Gaurav Kolhe, Houman Homayoun, Setareh Rafatirad, Sai Manoj PD. Great Lakes Symposium on VLSI 2022 (GLSVLSI'22).
GLSVLSI
(167) "Survey of Machine Learning for Electronic Design Automation".
Kevin Immanuel Gubbi, Sayed Aresh Beheshti-Shirazi, Tyler Sheaves, Soheil Salehi, Sai Manoj PD, Setareh Rafatirad, Avesta Sasan, Houman Homayoun. Great Lakes Symposium on VLSI 2022 (GLSVLSI'22).
GLSVLSI
(166) "Deep Neural Network and Transfer Learning for Accurate Hardware-Based Zero-Day Malware Detection".
Zhangying He, Amin Rezaei, Houman Homayoun, Hossein Sayadi. Great Lakes Symposium on VLSI 2022 (GLSVLSI'22).
GLSVLSI
(165) "RAPTA: A Hierarchical Representation Learning Solution For Real-Time Prediction of Path-Based Static Timing Analysis".
Tanmoy Chowdhury, Ashkan Vakil, Banafsheh Saber Latibari, Seyed Aresh Beheshti Shirazi, Ali Mirzaeian, Xiaojie Guo, Sai Manoj PD, Houman Homayoun, Ioannis Savidis, Liang Zhao, Avesta Sasan. Great Lakes Symposium on VLSI 2022 (GLSVLSI'22).
GLSVLSI
(164) "CAD-FSL: Code-Aware Data Generation based Few-Shot Learning for Efficient Malware Detection".
Sreenitha Kasarapu, Sanket Shukla, Rakibul Hassan, Avesta Sasan, Houman Homayoun, Sai Manoj PD. Great Lakes Symposium on VLSI 2022 (GLSVLSI'22).
Euro S&P
(163) "DNN Model Architecture Fingerprinting Attack on CPU-GPU Edge Devices".
Kartik Patwari, Syed Mahbub Hafiz, Han Wang, Houman Homayoun, Zubair Shafiq, Chen-Nee Chuah. 2022 IEEE 7th European Symposium on Security and Privacy (EuroS&P'22).
NEWCAS
(162) "Neuromorphic-Enabled Security for IoT".
Soheil Salehi, Tyler Sheaves, Kevin Immanuel Gubbi, Sayed Arash Beheshti, Sai Manoj PD, Setareh Rafatirad, Avesta Sasan, Tinoosh Mohsenin, Houman Homayoun. 20th IEEE Interregional NEWCAS Conference 2022 (NEWCAS'22).
DAC
(161) "LOCK&ROLL: deep-learning power side-channel attack mitigation using emerging reconfigurable devices and logic locking".
Gaurav Kolhe, Tyler Sheaves, Kevin Immanuel Gubbi, Soheil Salehi, Setareh Rafatirad, Sai Manoj PD, Avesta Sasan, Houman Homayoun. 59th ACM/IEEE Design Automation Conference 2022 (DAC'22).
DAC
(160) "Silicon validation of LUT-based logic-locked IP cores".
Gaurav Kolhe, Tyler Sheaves, Kevin Immanuel Gubbi, Tejas Kadale, Setareh Rafatirad, Sai Manoj PD, Avesta Sasan, Hamid Mahmoodi, Houman Homayoun. 59th ACM/IEEE Design Automation Conference 2022 (DAC'22).
EMBC
(159) "ATLAS: An Adaptive Transfer Learning Based Pain Assessment System: A Real Life Unsupervised Pain Assessment Solution".
Ruijie Fang, Ruoyu Zhang, Elahe Hosseini, Mahdi Orooji, Houman Homayoun, Sayed Mohammad Hosseini, Mahya Faghih, Soheil Rafatirad, Setareh Rafatirad. 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society 2022 (EMBC'22).
EMBC
(158) "Convolution Neural Network for Pain Intensity Assessment from Facial Expression".
Elahe Hosseini, Ruijie Fang, Ruoyu Zhang, Chen-Nee Chuah, Mahdi Orooji, Soheil Rafatirad, Setareh Rafatirad, Houman Homayoun. 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society 2022 (EMBC'22).
2021
HAET
(157) "A fast method to fine-tune neural networks for the least energy consumption on FPGAs".
Morteza Hosseini, Mohammad Ebrahimabadi, Arnab Neelim Mazumder, Houman Homayoun, Tinoosh Mohsenin. HAET Workshop of ICLR 2021.
ICSC
(156) "Ontology-driven framework for trend analysis of vulnerabilities and impacts in IOT hardware".
Charan Bandi, Soheil Salehi, Rakibul Hassan, Sai Manoj PD, Houman Homayoun, Setareh Rafatirad. 2021 IEEE 15th International Conference on Semantic Computing (ICSC).
DATE
(155) "Hmd-hardener: Adversarially robust and efficient hardware-assisted runtime malware detection".
Abhijitt Dhavlle, Sanket Shukla, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao. 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).
DATE
(154) "A cognitive sat to sat-hard clause translation-based logic obfuscation".
Rakibul Hassan, Gaurav Kohle, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao. 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).
ICC
(153) "Performance-aware Malware Epidemic Confinement in Large-Scale IoT Networks".
s Rakibul Hassan, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao. ICC 2021-IEEE International Conference on Communications.
IOLTS
(152) "Adaptive-HMD: Accurate and Cost-Efficient Machine Learning-Driven Malware Detection using Microarchitectural Events".
Yifeng Gao, Hosein Mohammadi Makrani, Mehrdad Aliasgari, Amin Rezaei, Jessica Lin, Houman Homayoun, Hossein Sayadi. 2021 IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS).
SOCC
(151) "Power Swapper: Approximate Functional Block Assisted Cryptosystem Security".
Abhijitt Dhavlle, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao. IEEE 34th International System-on-Chip Conference (SOCC'21).
SEED
(150) "Cloak & co-locate: adversarial railroading of resource sharing-based attacks on the cloud".
Hosein Mohammadi Makrani, Hossein Sayadi, Najmeh Nazari, Khaled N Khasawneh, Avesta Sasan, Setareh Rafatirad, Houman Homayoun. International Symposium on Secure and Private Execution Environment Design 2021 (SEED'21).
ICCD
(149) "HosNa: A DPC++ Benchmark Suite for Heterogeneous Architectures".
Najmeh Nazari Bavarsad, Hosein Mohammadi Makrani, Hossein Sayadi, Lawrence Landis, Setareh Rafatirad, Houman Homayoun. IEEE 39th International Conference on Computer Design 2021 (ICCD'21).
DAC
(148) "Securing Hardware via Dynamic Obfuscation Utilizing Reconfigurable Interconnect and Logic Blocks".
Gaurav Kolhe, Soheil Salehi, Tyler Sheaves, Setareh Rafatirad, Sai Manoj PD, Avesta Sasan, Houman Homayoun. ACM/IEEE 58th Design Automation Conference. (DAC 2021).
DAC
(147) "StocHD: Stochastic Hyperdimensional System for Efficient and Robust Learning from Raw Data".
Prathyush Poduval, Zhuowen Zou, Hassan Najafi, Houman Homayoun, Mohsen Imani. ACM/IEEE 58th Design Automation Conference. (DAC 2021).
ICSC
(146) "Ontology-Driven Framework for Trend Analysis of Vulnerabilities and Impacts in IoT Hardware".
Charan Bandi, Soheil Salehi, Rakibul Hassan, Sai Manoj P. D., Houman Homayoun, Setareh Rafatirad. 15th IEEE International Conference on Semantic Computing: 211-214, (ICSC 2021).
AICAS
(145) "Demography-aware COVID-19 Confinement with Game Theory".
Sreenitha Kasarapu, Rakibul Hassan, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao. IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS 2021).
AICAS
(144) "Evaluation of Machine Learning-based Detection against Side-Channel Attacks on Autonomous Vehicle".
Han Wang, Soheil Salehi, Hossein Sayadi, Avesta Sasan, Tinoosh Mohsenin, Sai Manoj P. D., Setareh Rafatirad, Houman Homayoun. IEEE International Conference on Artificial Intelligence Circuits and Systems (AICAS 2021).
GLSVLSI
(143) "A Reinforced Learning Solution for Clock Skew Engineering to Reduce Peak Current and IR Drop".
Sayed Aresh Beheshti-Shirazi, Ashkan Vakil, Sai Manoj, Ioannis Savidis, Houman Homayoun, Avesta Sasan. ACM Great Lakes Symposium on VLSI 2021: 221-228 (GLSVLSI 2021).
GLSVLSI
(142) "Energy-Efficient and Adversarially Robust Machine Learning with Selective Dynamic Band Filtering".
Neha Nagarkar, Khaled N. Khasawneh, Setareh Rafatirad, Avesta Sasan, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao. ACM Great Lakes Symposium on VLSI 2021: 221-228 (GLSVLSI 2021).
GLSVLSI
(141) "RANE: An Open-Source Formal De-obfuscation Attack for Reverse Engineering of Logic Encrypted Circuits".
Shervin Roshanisefat, Hadi Mardani Kamali, Houman Homayoun, Avesta Sasan. ACM Great Lakes Symposium on VLSI 2021: 221-228 (GLSVLSI 2021).
ISQED
(140) "Machine Learning-Assisted Website Fingerprinting Attacks with Side-Channel Information: A Comprehensive Analysis and Characterization".
Han Wang, Hossein Sayadi, Avesta Sasan, Sai Manoj P. D., Setareh Rafatirad, Houman Homayoun. International Symposium on Quality Electronic Design 387-394, (ISQED 2021).
ISQED
(139) "When Machine Learning Meets Hardware Cybersecurity: Delving into Accurate Zero-Day Malware Detection".
Zhangying He, Tahereh Miari, Hosein Mohammadi Makrani, Mehrdad Aliasgari, Houman Homayoun, Hossein Sayadi. International Symposium on Quality Electronic Design 387-394, (ISQED 2021).
ISQED
(138) "Diverse Knowledge Distillation (DKD): A Solution for Improving The Robustness of Ensemble Models Against Adversarial Attacks".
Ali Mirzaeian, Jana Kosecka, Houman Homayoun, Tinoosh Mohsenin, Avesta Sasan. International Symposium on Quality Electronic Design 387-394, (ISQED 2021).
ISQED
(137) "Conditional Classification: A Solution for Computational Energy Reduction".
Ali Mirzaeian, Sai Manoj, Ashkan Vakil, Houman Homayoun, Avesta Sasan. International Symposium on Quality Electronic Design 387-394, (ISQED 2021).
ISQED
(136) "ChaoLock: Yet Another SAT-hard Logic Locking using Chaos Computing".
Hadi Mardani Kamali, Kimia Zamiri Azar, Houman Homayoun, Avesta Sasan. International Symposium on Quality Electronic Design 387-394, (ISQED 2021).
2020
ASPDAC
(135) "NESTA: Hamming Weigh Compression-Based Neural Proc. Engine".
Ali Mirzaeian, Houman Homayoun, Avesta Sasan. 25th Asia and South Pacific Design Automation Conference (ASP-DAC 2020).
DATE
(134) "Mitigating Cache-Based Side-Channel Attacks Through Randomization: A Comprehensive System And Architecture Level Analysis".
Han Wang, Hossein Sayadi, Liang Zhao, Tinoosh Mohsenin, Avesta SasaN, Setareh Rafatirad, Houman Homayoun. Design, Automation & Test in Europe, (DATE 2020).
DATE
(133) "Estimating the Circuit De-Obfuscation Runtime based on Graph Deep Learning".
Zhiqian Chen, Gaurav Kolhe, Setareh Rafatirad, Chang-Tien Lu, Sai Manoj Pudukotai Dinakarrao, Houman Homayoun, and Liang Zhao. Design, Automation & Test in Europe, (DATE 2020).
ICCD
(132) "Phased-Guard: Multi-Phase ML Framework for Detection and Identification of Zero-Day Microarchitectural SCAs".
Han Wang, Hossein Sayadi, Avesta Sasan, Setareh Rafatirad, Houman Homayoun. 38th IEEE International Conference on Computer Design (ICCD), Hartford, Connecticut, USA, 2020.
ICMLA
(131) "HybriDG: Hybrid Dynamic Time Wrapping and Gaussian Distribution Model for Detecting Emerging Zero-day Microarchitectural Side-Channel Attacks".
Han Wang, Hossein Sayadi, Avesta Sasan, Setareh Rafatirad, Houman Homayoun. 19th IEEE International Conference On Machine Learning And Applications (ICMLA), 2020.
ICCAD
(130) "InterLock: An Intercorrelated Logic and Routing Locking".
Hadi M Kamali, Kimia Z Azar, Houman Homayoun, Avesta Sasan. IEEE/ACM International Conference on Computer-Aided Design, (ICCAD 2020).
ICCAD
(129) "Hybrid-Shield: Accurate and Efficient Cross-Layer Countermeasure for Run-Time Detection and Mitigation of Cache-Based Side-Channel Attacks".
Han Wang, Hossein Sayadi, Avesta Sasan, Setareh Rafatirad, Houman Homayoun. IEEE/ACM International Conference on Computer-Aided Design, (ICCAD 2020).
ICCAD
(128) "NNgSAT: Neural Network guided SAT Attack on Logic Locked Complex Structures".
Kimia Z Azar, Hadi M Kamali, Houman Homayoun, Avesta Sasan. IEEE/ACM International Conference on Computer-Aided Design, (ICCAD 2020).
MWCAS
(127) "Recent Advancements in Microarchitectural Security: Review of Machine Learning Countermeasures".
Hossein Sayadi, Han Wang, Tahereh Miari, Hosein Mohammadi Makrani, Mehrdad Aliasgari, Setareh Rafatirad, Houman Homayoun. 2020 IEEE 63rd International Midwest Symposium on Circuits and Systems: 949-952.
ISVLSI
(126) "SCRAMBLE: The State, Connectivity and Routing Augmentation Model for Building Logic Encryption".
Hadi Mardani Kamali, Kimia Zamiri Azar, Houman Homayoun, Avesta Sasan. IEEE Computer Society Annual Symposium on VLSI 2020: 153-159, BEST PAPER NOMINEE.
ISQED
(125) "CSCMAC - Cyclic Sparsely Connected Neural Network Manycore Accelerator".
Hirenkumar Paneliya, Morteza Hosseini, Avesta Sasan, Houman Homayoun, Tinoosh Mohsenin. International Symposium on Quality Electronic Design ISQED 2020: 27-32.
ISQED
(124) "Entropy-Shield: Side-Channel Entropy Maximization for Timing-based Side-Channel Attacks".
Abhijitt Dhavlle, Raj Mehta, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao. International Symposium on Quality Electronic Design ISQED 2020: 27-32.
ISQED
(123) "SATConda: SAT to SAT-Hard Clause Translator. International Symposium on Quality Electronic Design".
Rakibul Hassan, Gaurav Kolhe, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao:. International Symposium on Quality Electronic Design ISQED 2020: 27-32.
ISQED
(122) "LASCA: Learning Assisted Side Channel Delay Analysis for Hardware Trojan Detection".
Ashkan Vakil, Farnaz Behnia, Ali Mirzaeian, Houman Homayoun, Naghmeh Karimi, Avesta Sasan:. International Symposium on Quality Electronic Design ISQED 2020: 27-32.
ISQED
(121) "Code-Bridged Classifier (CBC): A Low or Negative Overhead Defense for Making a CNN Classifier Robust Against Adversarial Attacks".
Farnaz Behnia, Ali Mirzaeian, Mohammad Sabokrou, Saj Manoj, Tinoosh Mohsenin, Khaled N. Khasawneh, Liang Zhao, Houman Homayoun, Avesta Sasan:. International Symposium on Quality Electronic Design ISQED 2020: 27-32.
IOLTS
(120) "SCARF: Detecting Side-Channel Attacks at Real-time using Low-level Hardware Features".
Han Wang, Hossein Sayadi, Setareh Rafatirad, Avesta Sasan, Houman Homayoun:. 26th IEEE International Symposium on On-Line Testing and Robust System Design (IOLTS) 2020: 1-6.
GLSVLSI
(119) "On Designing Secure and Robust Scan Chain for Protecting Obfuscated Logic".
Hadi Mardani Kamali, Kimia Zamiri Azar, Houman Homayoun, Avesta Sasan:. ACM Great Lakes Symposium on VLSI 2020: 217-222.
GLSVLSI
(118) "Comprehensive Evaluation of Machine Learning Countermeasures for Detecting Microarchitectural Side-Channel Attacks".
Han Wang, Hossein Sayadi, Avesta Sasan, Setareh Rafatirad, Tinoosh Mohsenin, Houman Homayoun:. ACM Great Lakes Symposium on VLSI 2020: 181-186.
GLSVLSI
(117) "StealthMiner: Specialized Time Series Machine Learning for Run-Time Stealthy Malware Detection based on Microarchitectural Features".
Hossein Sayadi, Yifeng Gao, Hosein Mohammadi Makrani, Tinoosh Mohsenin, Avesta Sasan, Setareh Rafatirad, Jessica Lin, Houman Homayoun:. ACM Great Lakes Symposium on VLSI 2020: 175-180.
GLSVLSI
(116) "Energy-Efficient Hardware for Language Guided Reinforcement Learning".
Aidin Shiri, Arnab Neelim Mazumder, Bharat Prakash, Nitheesh Kumar Manjunath, Houman Homayoun, Avesta Sasan, Nicholas R. Waytowich, Tinoosh Mohsenin. ACM Great Lakes Symposium on VLSI 2020: 131-136.
VTS
(115) "DFSSD: Deep Faults and Shallow State Duality, A Provably Strong Obfuscation Solution for Circuits with Restricted Access to Scan Chain".
Shervin Roshanisefat, Hadi Mardani Kamali, Kimia Zamiri Azar, Manoj Sai, Naghmeh Karimi, Houman Homayoun, Avesta Sasan. IEEE VLSI Test Symposium (VTS 2020).
2019
ICCAD
(114) "Security and Complexity Analysis of LUT-based Obfuscation: From Blueprint to Reality".
Gaurav Kolhe, Hadi Mardani Kamali, Miklesh Naicker, Tyler David Sheaves, Hamid Mahmoodi, Sai Manoj Pudukotai Dinakarrao, Houman Homayoun, Setareh Rafatirad, Avesta Sasan. IEEE/ACM International Conference on Computer-Aided Design, (ICCAD 2019). BEST PAPER NOMINEE.
ICDM
(113) "Deep Multi-attributed Graph Translation with Node-Edge Co-evolution".
Xiaojie Guo, Liang Zhao, Cameron Nowzari, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao. 19th IEEE International Conference on Data Mining (ICDM 2019). BEST PAPER AWARD.
ICDM
(112) "DynGraph2Seq: Dynamic-Graph-to-Sequence Interpretable Learning for Health Stage Prediction in Online Health Forums".
Yuyang Gao, Lingfei Wu, Houman Homayoun, Liang Zhao. 19th IEEE International Conference on Data Mining (ICDM 2019).
RECONFIG
(111) "TCD-NPE: A Re-configurable and Efficient Neural Processing Engine, Powered by Novel Temporal-Carry-deferring MACs".
Ali Mirzaeian, Houman Homayoun and Avesta Sasan. IEEE International Conference on Reconfigurable Computing and FPGAs, (Reconfig 2019).
CASES
(110) "Sequence-Crafter: Side-Channel Entropy Minimization to Thwart Timing-Based Side-Channel Attacks".
Abhijitt Dhavlle, Sahil Bhat, Setareh Rafatirad, Houman Homayoun. Proceedings of the International Conference on Compliers, Architectures and Synthesis for Embedded Systems Companion (CASES 2019).
CASES
(109) "SAT to SAT-hard Clause Translator".
Rakibul Hassan, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao. Proceedings of the International Conference on Compliers, Architectures and Synthesis for Embedded Systems Companion (CASES 2019).
BSN
(108) "Resource-Efficient Wearable Computing for Real-Time Reconfigurable Machine Learning: A Cascading Binary Classification".
Mahdi Pedram, Seyed Ali Rokni, Marjan Nourollahi, Houman Homayoun, Hassan Ghasemzadeh. 16th IEEE International Conference on Wearable and Implantable Body Sensor Networks. (BSN 2019).
CCWC
(107) "Parallel Multi-View Graph Matrix Completion for Large Input Matrix".
Arezou Koohi, Houman Homayoun. 2019 IEEE 9th Annual Computing and Communication Workshop and Conference (CCWC 2019).
FPL
(106) "Pyramid: Machine Learning Framework to Estimate the Optimal Timing and Resource Usage of a High-Level Synthesis Design".
Hosein Mohammadi Makrani, Farnoud Farahmand, Hossein Sayadi, Sara Bondi, Sai Manoj Pudukotai Dinakarrao, Houman Homayoun, Setareh Rafatirad. 29th International Conference on Field Programmable Logic and Applications.
GLSVLSI
(105) "Mitigating the Performance and Quality of Parallelized Compressive Sensing Reconstruction Using Image Stitching ".
Mahmoud Namazi, Hosein Mohammadi Makrani, Zhi Tian, Setareh Rafatirad, Mohamad Hosein Akbari, Avesta Sasan, Houman Homayoun. ACM Great Lakes Symposium on VLSI.
GLSVLSI
(104) "Threats on Logic Locking: A Decade Later".
Kimia Zamiri Azar, Hadi Mardani Kamali, Houman Homayoun, Avesta Sasan. ACM Great Lakes Symposium on VLSI.
GLSVLSI
(103) "On Custom LUT-based Obfuscation".
Gaurav Kolhe, Sai Manoj P. D., Setareh Rafatirad, Hamid Mahmoodi, Avesta Sasan, Houman Homayoun. ACM Great Lakes Symposium on VLSI. (GLSVLSI 2019).
ICPP
(102) "ECoST: Energy-Efficient Co-Locating and Self-Tuning MapReduce Applications".
Maria Malik, Hassan Ghasemzadeh, Tinoosh Mohsenin, Rosario Cammarota, Liang Zhao, Avesta Sasan, Houman Homayoun, Setareh Rafatirad. 48th International Conference on Parallel Processing. (ICPP 2019).
RAID
(101) "COMA: Communication and Obfuscation Management Architecture ".
Kimia Zamiri Azar, Farnoud Farahmand, Hadi Mardani Kamali, Shervin Roshanisefat, Houman Homayoun, William Diehl, Kris Gaj, Avesta Sasan. 22nd International Symposium on Research in Attacks, Intrusions and Defenses. (RAID 2019).
DAC
(100) "On the Complexity Reduction of Dense Layers from O(N^2) to O(N logN) with Cyclic Sparsely Connected Layers".
S. Morteza Hoseini, Mark Horton, Hirenkumar Paneliya, Uttej Kallakuri, Houman Homamyoun, Tinoosh Mohsenin. ACM/IEEE 56th Design Automation Conference. (DAC 2019).
DAC
(99) "Adversarial Attack on Microarchitectural Events based Malware Detectors".
Abhijit Dhalve, Sairaj Kiran Amberkar, Sahil Bhat, Hossein Sayadi, Nisarg Patel, Sai Manoj P. D., Avesta Sasan, Setareh Rafatirad, Houman Homayoun. ACM/IEEE 56th Design Automation Conference. (DAC 2019).
DAC
(98) "Full-Lock: Hard Distributions of SAT instances for Obfuscating Circuits using Fully Configurable Logic Blocks".
Hadi Mardahi, Houman Homayoun, Avesta Sasan. ACM/IEEE 56th Design Automation Conference. (DAC 2019).
CHES
(97) "SMT Attack: Next Generation Attack on Obfuscated Circuits with Capabilities and Performance Beyond The SAT Attacks".
Kimia Zamiri Azar, Hadi Mardani Kamali, Avesta Sasan, Houman Homayoun. IACR Conference on Cryptographic Hardware and Embedded Systems (CHES 2019).
DATE
(96) "Lightweight Node-level Malware Detection and Network-level Malware Confinement in IoT Networks".
Sai Manoj Pudukotai Dinakarrao, Hossein Sayadi, Hosein Mohammadi Makrani, Cameron Nowzari, Setareh Rafatirad and Houman Homayoun. Design, Automation & Test in Europe, (DATE 2019).
DATE
(95) "2SMaRT: A Two-Stage Machine Learning-Based Approach for Run-Time Specialized Hardware-Assisted Malware Detection".
Hossein Sayadi, Hosein Mohammadi Makrani, Sai Manoj Pudukotai Dinakarrao, Tinoosh Mohsenin, Avesta Sasan, Setareh Rafatirad and Houman Homayoun. Design, Automation & Test in Europe, (DATE 2019).
ASPDAC
(94) "XPPE: Cross-Platform Performance Estimation of Hardware Accelerators Using Machine Learning".
Hosein Makrani, Hossein Sayadi, Sara Bondi, Tinoosh Mohsenin, Setareh Rafatirad, Avesta Sasan, Houman Homayoun. 24th Asia and South Pacific Design Automation Conference, (ASPDAC 2019).
ASPDAC
(93) "IR-ATA: IR Annotated Timing Analysis, A Flow for Closing the Loop Between PDN design, IR Analysis & Timing Closure".
Ashkan Vakil, Houman Homayoun, Avesta Sasan. 24th Asia and South Pacific Design Automation Conference, (ASPDAC 2019).
ISQED
(92) "Exploiting Energy-Accuracy Trade-off through Contextual Awareness in Multi-Stage Convolutional Neural Networks".
Katayoun Neshatpour, Houman Homayoun , Avesta Sasan (Invited Talk). 20th International Symposium on Quality of Electronic Design, (ISQED 2019).
2018
DAC
(91) "Ensemble Learning for Hardware-Based Malware Detection: A Comprehensive Analysis and Classification".
Hossein Sayadi, Sai Manoj PD , Houman Homayoun. ACM/IEEE 55th Design Automation Conference. (DAC), 2018.
DATE
(90) "ICNN: An Iterative Implementation of Convolutional Neural Networks to Enable Energy and Computational Complexity Aware Dynamic Approximation".
Katayoun Neshatpour, Farnaz Behnia, Houman Homayoun, Avesta Sasan. Design, Automation & Test in Europe, (DATE), 201.
ICCAD
(89) "Efficient Utilization of Adversarial Training towards Robust Machine Learners and its Analysis".
Sai Manoj P D, Sairaj Amberkar, Setareh Rafatirad, Houman Homayoun. IEEE/ACM International Conference on Computer Aided Design, Special Session (ICCAD) 2018.
ASPDAC
(88) "Power Conversion Efficiency-Aware Mapping of Multithreaded Applications on Heterogeneous Architectures: A Comprehensive Parameter Tuning".
Hossein Sayadi, Divya Pathak, Ioannis Savidis, Houman Homayoun. 23rd Asia and South Pacific Design Automation Conference, (ASPDAC), 2018.
FCMM
(87) "Design Space Exploration for Acceleration of Machine Learning Applications".
Katayoun Neshatpour, Houman Homayoun. The 26th IEEE International Symposium on Field-Programmable Custom Computing Machines, 2018.
RECONFIG
(86) "A Scalable and Low Power DCNN for Multimodal Data Classification".
Ali Jafari, Morteza Hosseini, Houman Homayoun and Tinoosh Mohsenin. International Conference on Reconfigurable Computing and FPGAs, (Reconfig 2018).
CASES
(85) "Hardware-Assisted Security: Understanding Security Vulnerabilities, Emerging Attacks and Existing Defenses".
Sai Manoj Pudukotai Dinakarrao, Ferdinand Brasser, Lucas Davi, Abhijitt Dhavlle, Tommaso Frassetto, Setareh Rafatirad, Ahmad-Reza Sadeghi, Hossein Sayadi, and Shaza Zeitouni, Houman Homayoun. In Proceedings of the 2018 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2018.
SoCC
(84) "Energy-aware and Machine Learning-based Resource Provisioning of In-Memory Analytics on Cloud".
Hosein Mohammadi Makrani, Hossein Sayadi, Devang Motwani, Han Wang, Setareh Rafatirad, Houman Homayoun. ACM Symposium on Cloud Computing 2018 (SoCC 2018.
IOLTS
(83) "Benchmarking the Capabilities and Limitations of SAT Solvers in Defeating Obfuscation Schemes".
Shervin Roshanisefat, Harshith Thirumala, Houman Homayoun, Kris Gaj, Avesta Sasan. 24th IEEE International Symposium on On-Line Testing and Robust System Design. (IOLTS 2018.
SAMOS
(82) "Architectural Considerations for FPGA Acceleration of Machine Learning Applications in MapReduce".
Katayoun Neshatpour,Hosein Mohammadi Mokrani, Avesta Sasan, Hassan Ghasemzadeh, Setareh Rafatirad, Houman Homayoun. International Symposium on Systems, Systems, Architectures, Modeling and Simulation. SAMOS XVIII 2018, Samos, Greece.
MEMSYS
(81) "A comprehensive Memory Analysis of Data Intensive Workloads on Server Class Architecture".
Hosein Mohammadi Makrani, Hossein Sayadi, Sai Manoj Pudukotai Dinakarra, Setareh Rafatirad, Houman Homayoun. The International Symposium on Memory Systems (MEMSYS 2018).
ASAP
(80) "Compressive Sensing on Storage Data: An Effective Solution to Alleviate I/O Bottleneck in Data Intensive Workloads".
Hosein Mohammadi Makrani, Hossein Sayadi, Sai Manoj Pudukotai Dinakarrao, Setareh Rafatirad, Houman Homayoun. The 29th Annual IEEE International Conference on Application-specific Systems, Architectures and Processors (ASAP 2018.
ISVLSI
(79) "LUT-Lock: A Novel LUT-based Logic Obfuscation for FPGA-Bitstream and ASIC-Hardware Protection".
Hadi Mardani Kamali, Kimia Zamiri Azar, Kris Gaj, Houman Homayoun, Avesta Sasan. IEEE Computer Society Annual Symposium on VLSI, (ISVLSI 2018).
CCGRID
(78) "Main-Memory Requirements of Big Data Applications on Commodity Server Platform".
Hosein Mohammadi Makrani, Setareh Rafatirad and Houman Homayoun. 18th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, 2018.
CF
(77) "Comprehensive Assessment of Run-Time Hardware-Supported Malware Detection Using General and Ensemble Learning".
Hossein Sayadi, Sai Manoj, Setareh Rafatirad, Houman Homayoun. ACM International Conference on Computing Frontiers (CF) 2017.
2017
IISWC
(76) "MeNa: A Memory Navigator for Modern Hardware in Scale-out Environment".
Hosein Makrani, Houman Homayoun. 2017 IEEE International Symposium on Workload Characterization, (IISWC), 2017.
IISWC
(75) "Co-Locating and Concurrent Fine-Tuning MapReduce Applications on Microservers for Energy Efficiency".
Maria Malik, Dean Tullsen, Houman Homayoun. 2017 IEEE International Symposium on Workload Characterization, (IISWC), 2017.
IISWC
(74) "Memory Requirements of Hadoop, Spark, and MPI Based Big Data Applications on Commodity Server Class Architecture".
Hosein Makrani, Houman Homayoun. 2017 IEEE International Symposium on Workload Characterization, (IISWC), 2017.
DAC
(73) "Analyzing Hardware Based Malware Detectors".
Nisarg Patel and Houman Homayoun. ACM/IEEE 54th Design Automation Conference. (DAC), 2017.
DATE
(72) "Big vs Little Core for Energy-Efficient Hadoop Computing".
Maria Malik, Katayoun Neshatpour, Tinoosh Mohsenin, Avesta Sasan and Houman Homayoun. Design, Automation & Test in Europe, (DATE), 2017.
DATE
(71) "LESS: Big Data Sketching and Encryption on Low Power Platform".
Amey Kulkarni, Colin Shea, Houman Homayoun and Tinoosh Mohsenin. Design, Automation & Test in Europe, (DATE), 2017.
ISLPED
(70) "Spatial and Temporal Scheduling of Clock Arrival Times for IR Hot- Spot Mitigation, Reformulation of Peak Current Reduction".
Bhoopal Re Gunna, Houman Homayoun, Avesta Sasan. The International Symposium on Low Power Electronics and Design (ISLPED), 2017.
ICCD
(69) "Machine Learning-based Approaches for Energy Efficiency Prediction and Scheduling in Composite Cores Architectures".
Hossein Sayadi, Avesta Sasan, Houman Homayoun. IEEE International Conference on Computer Design (ICCD), 2017.
ISVLSI
(68) "A Power Delivery Network and Cell Placement Aware IR-Drop Mitigation Technique: Harvesting Unused Timing Slacks to Schedule Useful Skews".
Lakshmi Bhamidipati, Bhoopal Gunna, Houman Homayoun, Avesta Sasan. IEEE Computer Society Annual Symposium on VLSI, (ISVLSI), 2017.
IGSC
(67) "Understanding the Role of Memory Subsystem on Performance and Energy-Efficiency of Hadoop Applications".
Hosein Makrani, Shahab Tabatabaei, Setareh Rafatirad and Houman Homayoun. The Eighth International Green and Sustainable Computing Conference, (IGSC), 2017. (Invited Special Session Talk).
IGSC
(66) "Scheduling Multithreaded Applications onto Heterogeneous Composite Cores Architectures".
Hossein Sayadi, Houman Homayoun. The Eighth International Green and Sustainable Computing Conference, (IGSC), 2017.
GLSVLSI
(65) "Work Load Scheduling For Multi Core Systems With Under-Provisioned Power Delivery".
Divya Pathak, Houman Homayoun, Ioannis Savidis. 27th ACM International Conference of the Great Lakes Symposium on VLSI, (GLSVLSI), 2017.
2016
DAC
(64) "STT-CMOS Hybrid Designs for Reverse-engineering Prevention".
Ted Winograd, Hassan Salmani, Hamid Mahmoodi, Kris Gaj, Houman Homayoun. ACM/IEEE 53rd Design Automation Conference. (DAC), 2016.
SOCC
(63) "Comparative Analysis of Hybrid Magnetic Tunnel Junction and CMOS Logic Circuits".
Darya Almasi, Houman Homayoun, Hassan Salmani, Hamid Mahmoodi. 29th IEEE International System-on-Chip Conference (SOCC), 2016.
ICCD
(62) "Dynamic Single and Dual Rail Spin Transfer Torque Look Up Tables with Enhanced Robustness under CMOS and MTJ Process Variations".
Aliyar Attaran, Hassan Salmani, Houman Homayoun and Hamid Mahmoodi. IEEE International Conference on Computer Design (ICCD), 2016.
CODES+ISSS
(61) "Big Data Analytics on Heterogeneous Accelerator Architectures".
Katayoun Neshatpour, Avesta Sasan, Houman Homayoun. IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis.
CF
(60) "Heterogeneous Chip Multiprocessor Architectures for Big Data Applications".
Houman Homayoun. ACM International Conference on Computing Frontiers (CF) 2016. (Invited Talk.
ISVLSI
(59) "Architecture Exploration for Energy-Efficient Embedded Vision Applications: From General Purpose Processor to Domain Specific Accelerator".
Maria Malik, Farnoud Farahmand, Paul Otto, Nima Akhlaghi, Tinoosh Mohsenin, Siddhartha Sikdar, Houman Homayoun. IEEE Computer Society Annual Symposium on VLSI, (ISVLSI) 2016.
ISPASS
(58) "Characterizing Hadoop Applications on Microservers for Performance and Energy Efficiency Optimizations".
Maria Malik, Setareh Rafatirad, Rajiv Joshi, Houman Homayoun. IEEE International Symposium on Performance Analysis of Systems and Software, (ISPASS) 2016.
GLSVLSI
(57) "Low-Power ManyCore Accelerator for Personalized Biomedical Applications".
Adam Page, Nasrin Attaran, Colin Shea, Houman Homayoun and Tinoosh Mohsenin. 26th ACM International Conference of the Great Lakes Symposium on VLSI, (GLSVLSI) 2016.
GLSVLSI
(56) "Load Balanced On-Chip Power Delivery for Average Current Demand".
Divya Pathak, Mohammad Hajkazemi, Mohammad Tavana, Houman Homayoun and Ioannis Savidis. 26th ACM International Conference of the Great Lakes Symposium on VLSI, (GLSVLSI) 2016. (Best Paper Award.
ISCAS
(55) "Comparative Analysis of Robustness of Spin Transfer Torque based Look Up Tables Under Process Variations".
Ragh Kuttappa, Hassan Salmani, Hamid Mahmoodi, Houman Homayoun. IEEE International Symposium on Circuits and Systems, (ISCAS) 2016.
ISCAS
(54) "Energy Efficient On-Chip Power Delivery with Run-Time Voltage Regulator Clustering".
Divya Pathak, Mohammad Khavari Tavana, Mohammad Hossein Hajkazemi, Houman Homayoun and Ioannis Savidis. IEEE International Symposium on Circuits and Systems, (ISCAS) 2016.
ISCAS
(53) "Big Biomedical Image Processing Hardware Acceleration: A Case Study for K-means and Image Filtering".
Katayoun Neshatpour, Arezou Koohi, Maria Malik, Avesta Sasan, Setareh Rafatirad, Houman Homayoun. IEEE International Symposium on Circuits and Systems, (ISCAS) 2016.
ISQED
(52) "Preventing Design Reverse Engineering with Reconfigurable Spin Transfer Torque LUT Gates".
Ted Winograd, Hasan Salmani, Hamid Mahmoodi, Houman Homayoun. 17th International Symposium on Quality of Electronic Design, (ISQED) 2016.
2015
BigData
(51) "System and Architecture Level Characterization of Big Data Applications on Big and Little Core Server Architectures".
Maria Malik, Setareh Rafatirad, Houman Homayoun. IEEE BigData Conference 2015.
BigData
(50) "Energy-Efficient Acceleration of Big Data Analytics Applications Using FPGAs".
Katayoun Neshatpour, Maria Malik, Mohammad Ali Ghodrat, Avesta Sasan, Houman Homayoun. IEEE BigData Conference 2015.
ICCD
(49) "Wide I/O or LPDDR? Exploration and Analysis of Performance, Power and Temperature Trade-Offs of Emerging DRAM Technologies in Embedded MPSoCs".
Mohammad Hossein Hajkazemi, Mohammad Khavari Tavana and Houman Homayoun. IEEE International Conference on Computer Design (ICCD), 2015.
ICCD
(48) "Realizing Complexity-Effective On-Chip Power Delivery for Many-Core Platforms by Exploiting Optimized Mapping".
Mohammad Khavari Tavana, Divya Pathak, Mohammad Hossein Hajkazemi, Maria Malik, Ioannis Savidis and Houman Homayoun. IEEE International Conference on Computer Design (ICCD), 2015.
ICCD
(47) "Big Data on Low Power Cores Are Low Power Embedded Processors a Good Fit for the Big Data Workloads?".
Maria Malik and Houman Homayoun. IEEE International Conference on Computer Design (ICCD), 2015.
ICCD
(46) "Power and Performance Characterization, Analysis and Tuning for Energy-efficient Edge Detection on Atom and ARM Based Platforms".
Paul Otto, Maria Malik, Nima Akhlaghi, Rebel Sequeira, Houman Homayoun and Siddhartha Sikdar. IEEE International Conference on Computer Design (ICCD), 2015.
CF
(45) "Just-in-time Component-Wise Power and Thermal Modeling".
Shah Mohammad Faizur Rahman, Qing Yi, Houman Homayoun. ACM Conference On Computing Frontiers 2015.
FCCM
(44) "Accelerating Big Data Analytics Using FPGAs".
Katayoun Neshatpour, Maria Malik, Mohammad Ali Ghodrat, Houman Homayoun. The 23rd IEEE International Symposium on Field-Programmable Custom Computing Machines, 2015.
DAC
(43) "ElasticCore: Enabling Dynamic Heterogeneity with Joint Core and Voltage/Frequency Scaling".
Mohammad Khavari Tavana, Mohammad Hajkazemi, Divya Pathak, Ioannis Savidis, Houman Homayoun. ACM/IEEE 52TH Design Automation Conference. (DAC 2015).
CCGRID
(42) "Accelerating Machine Learning Kernels in Hadoop Using FPGAs".
Katayoun Neshatpour, Maria Malik, Mohammad Ali Ghodrat, Houman Homayoun. 15th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, 2015.
GLSVLSI
(41) "Adaptive Bandwidth Management for Performance-Temperature Trade-offs in Heterogeneous HMC+DDRx Memory".
Mohammad Hossein Hajkazemi, Michael Chorney, Reyhaneh Jabbarvand Behrouz, Mohammad Khavari Tavana and Houman Homayoun. 25th ACM International Conference of the Great Lakes Symposium on VLSI, 2015.
GLSVLSI
(40) "Revisiting Dynamic Thermal Management Exploiting Inverse Thermal Dependence".
Katayoun Neshatpour, Amin Khajeh-Djahromi, Wayne Bfile_nameeson. 25th ACM International Conference of the Great Lakes Symposium on VLSI, 2015.
2014
DAC
(39) "Enabling Dynamic Heterogeneity Through Core on Core Stacking. (Special Session Talk)".
Vasileios Kontorinis, Mohammad Khavari Tavana, Mohammad Hajkazemi, Dean Tullsen, Houman Homayoun. ACM/IEEE 51TH Design Automation Conference. (DAC 2014).
ISLPED
(38) "Energy-efficient mapping of biomedical applications on domain-specific accelerator under process variation".
Mohammad Khavari Tavana, Amey M. Kulkarni, Abbas Rahimi, Tinoosh Mohsenin, Houman Homayoun. International Symposium on Low Power Electronics and Design, (ISLPED 2014).
DATE
(37) "Exploiting STT-NV Technology for Reconfigurable, High Performance, Low Power, and Low Temperature Functional Unit Design".
Adarsh Reddy Ashammagari, Hamid Mahmoodi, Houman Homayoun. Design, Automation & Test in Europe, (DATE 2014).
ICCD
(36) "Modeling and Analysis of Phase Change Materials for Efficient Thermal Management".
Fulya Kaplan, Charlie De Vivero, Samuel Howes, Manish Arora, Houman Homayoun, Wayne Bfile_nameeson, Dean Tullsen,. Ayse Coskun.
GLSVLSI
(35) "A Parallel and Reconfigurable Architecture for Efficient OMP Compressive Sensing Reconstruction".
Amey Kulkarni, Houman Homayoun and Tinoosh Mohsenin. 24th ACM International Conference of the Great Lakes Symposium on VLSI, 2014.
GLSVLSI
(34) "Reconfigurable STT-NV LUT-based Functional Units to Improve Performance in General-Purpose Processors".
Adarsh Reddy, Ashammagari, Hamid Mahmoodi, Tinoosh Mohsenin, Houman Homayoun. 24th ACM International Conference of the Great Lakes Symposium on VLSI, 2014.
IGCC
(33) "NVP: Non-uniform Voltage and Pulse width Settings for Power Efficient Hybrid STT-RAM".
Reyhaneh Jabbarvand Behrouz, Houman Homayoun. International Green Computing Conference, (IGCC 2014).
2013
ICCD
(32) "Low-Current Probabilistic Writes for Power-Efficient STT-RAM Cache".
Nikolaos Strikos, Vasileios Kontorinis, Xiangyu Dong, Houman Homayoun, Dean Tullsen. International Conference on Computer Design (ICCD), 2013.
IGCC
(31) "REMEDIATE: A Scalable Fault-tolerant Architecture for Low-Power NUCA Cache in Tiled CMPs".
Abbas Banayian, Houman Homayoun, Vasikeios Kontorinis, Dean Tullsen, Nikil Dutt. International Green Computing Conference. IGCC 2013.
DAC
(30) "VAWOM: Temperature and Process Variation Aware WearOut Management in 3D Multicore Architecture".
Hossein Tajik, Houman Homayoun, Nikil Dutt. ACM/IEEE 50th Design Automation Conference, Austin, Texas.
ASPDAC
(29) "Heterogeneous Memory Management for 3D-DRAM and External DRAM with Qo".
Le-Nguyen Tran, Houman Homayoun, Fadi Kurdahi, Ahmed Eltawil. 18th Asia and South Pacific Design Automation Conference.
ISQED
(28) "Temperature Aware Thread Migration in 3D Architecture with Stacked DRA".
Dali Zhao, Houman Homayoun, Alex Veidenbaum. 14th International Symposium on Quality of Electronic Design (ISQED) 2013.San Jose, California.
ISQED
(27) "A Many-core Platform for Biomedical Signal and Image Processin".
Jordan Bisasky, Tinoosh Mohsenin and Houman Homayoun. 14th International Symposium on Quality of Electronic Design (ISQED) 2013.San Jose, California.
2012
ISCA
(26) "Managing Distributed UPS Energy for Effective Power Capping in Data Center".
Vasileios Kontorinis, Baris Aksanli, Houman Homayoun, John Sampson, Tajana S. Rosing, and. Dean M. Tullsen.
HPCA Acceptance rate 17% (36/210)
(25) "Dynamically heterogeneous cores through 3D resource poolin".
Houman Homayoun, Vasileios Kontorinis, Ta-Wei Lin, Amirali Shayan and Dean M. Tullsen. International Symposium on High-Performance Computer Architecture, HPCA 2012. New Orleans, Louisiana.
ISQED
(24) "Hot Peripheral Thermal Management to Mitigate Cache Temperature Variatio".
Houman Homayoun, Mehryar Rahmatian, Vasileios Kontorinis, Shahin Golshan, Dean Tullsen. 13th International Symposium on Quality of Electronic Design (ISQED) 2012.San Jose, California.
ISQED
(23) "History & Variation Trained Cache (HVT-Cache):A Process Variation Aware and Fine Grain voltage Scalable Cache with Active Access History Monitoring".
Avesta Sasan, Houman Homayoun, Kiarash Amiri, Ahmed Eltawil and Fadi Kurdahi. 13th International Symposium on Quality of Electronic Design (ISQED) 2012.San Jose, California.
2011
CASES Acceptance rate 35%
(22) "FFT-Cache: A Flexible Fault-Tolerant Cache Architecture for Ultra Low Voltage Operatio".
Abbas Banaiyan, Houman Homayoun and Nikil Dutt. In Proceedings of the 2011 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2011. Taipei, Taiwan.
CODES-ISSS Acceptance tare 28% (33/117)
(21) "Reliability-Aware Placement in SRAM-based FPGA for Voltage Scaling Realization in the Presence of Process Variations".
Shahin Golshan, Amin Khajeh, Houman Homayoun, Eli Bozorgzadeh, Ahmed Eltaweel and Fadi Kurdahi. In Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2011. Taipei, Taiwan.
2010
HiPEAC Acceptance rate 25.5% (24/94)
(20) "RELOCATE: Register File Local Access Pattern Redistribution Mechanism for Power and Thermal Management in Out-of-Order Embedded Processor".
Houman Homayoun, Aseem Gupta, Alex Veidenbaum, Fadi J. Kurdahi, Nikil Dutt. 5th International Conference of High Performance Embedded Architectures and Compilers, (HiPEAC 2010).
ISQED Acceptance rate 31% (84/270)
(19) "Post-Synthesis Sleep Transistor Insertion for Leakage Power Optimization in Clock Tree Networks".
Houman Homayoun, Shahin Golshan, Eli Bozorgzadeh, Fadi Kurdahi, Alex Veidenbaum. 11th IEEE International Symposium on Quality Electronic Design. (ISQED.
CF Acceptance rate 26% (30/113)
(18) "Multiple sleep modes leakage control in peripheral circuits of a all major SRAM-based processor units".
Houman Homayoun, Avesta Sasan, Aseem Gupta, Alex Veidenbaum, Fadi Kurdahi, Nikil Dutt. 2010 ACM International Conference on Computing Frontiers, (CF).
CASES
(17) "E < MC^2 : Less Energy through Multi-Copy Cache".
Arup Chakraborty, Houman Homayoun, Amin Khejah, Nikil Dutt, Ahmed Eltawil, Fadi Kurdahi. In Proceedings of the 2010 International Conference on Compilers, Architecture, and Synthesis for Embedde.
ISLPED Acceptance rate 24% (52/210)
(16) "Exploiting Power Budgeting in Thermal-Aware Dynamic Placement for Reconfigurable System".
Shahin Golshan, Kazutoshi Wakabayashi, Benjamin Carrión Schäfer, Houman Homayoun, Elaheh Bozorgzadeh. International Symposium on Low Power Electronics and Design, ISLPED 2010. Austin, Texas.
2009
CASES Acceptance rate 42% (31/72)
(15) "A Fault Tolerant Cache Architecture for Sub 500mv Operation Resizable Data Composer Cache (RDC-Cache)".
Avesta Makhzan, Houman Homayoun, Ahmed Eltawil, Fadi J. Kurdahi. In Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedde.
DATE Acceptance rate 23%, (226/965)
(14) "Process Variation Aware Cache for Aggressive Voltage-Frequency Scaling".
Avesta Makhzan, Houman Homayoun, Ahmed Eltawil, Fadi J. Kurdahi. Design, Automation & Test in Europe, DATE 2009, Nice, France.
2008
DAC Acceptance rate   23% (147/639)
(13) "Dynamic Register File Resizing and Frequency Scaling to Improve Embedded Processor Performance and Energy-Delay Efficiency".
Houman Homayoun, Sudeep Pasricha, Mohammad A. Makhzan, Alexander V. Veidenbaum. ACM/IEEE 45TH Design Automation Conference, DAC 2008. Anaheim, U.S.A.
CASES Acceptance rate 33% (27/82)
(12) "Multiple Sleep Mode Leakage Control for Cache Peripheral Circuits in Embedded Processors".
Houman Homayoun, Mohammad Makhzan and Alex Veidenbaum. In Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedde.
ICCD Acceptance rate 34% (106/306)
(11) "Adaptive Techniques for Leakage Power Management in L2 Cache Peripheral Circuits".
Houman Homayoun, Alex Veidenbaum and Jean-Luc Gaudiot. In Proceedings of XXVI IEEE International Conference on Computer Design, ICCD 2008.Lake Tahoe,U.S.A.
LCTES Acceptance rate 25% (17/68)
(10) "Improving Performance and Reducing Energy-Delay with Adaptive Resource Resizing for Out-Of Order Embedded Processors".
Houman Homayoun, Sudeep Pasricha, Mohammad A. Makhzan, Alexander V. Veidenbaum. ACM SIGPLAN/SIGBED 2008 Conference on Languages, Compilers, and Tools for Embedded Systems.
ICCD Acceptance rate 34% (106/306)
(9) "ZZ-HVS: Zig-Zag Horizontal and Vertical Sleep Transistor Sharing to Reduce Leakage Power in On Chip SRAM Peripheral Circuits".
Houman Homayoun, Mohammad Makhzan and Alex Veidenbaum. In Proceedings of XXVI IEEE International Conference on Computer Design, ICCD 2008. Lake Tahoe, U.S.A.
SAMOS Acceptance rate 36% (29/79)
(8) "A Centralized Cache Miss Driven Technique to Improve Processor Power Dissipation".
Houman Homayoun, Mohammad Makhzan, Jean-Luc Gaudiot, and Alex Veidenbaum. International Symposium on Systems, Systems, Architectures, Modeling and Simulation. SAMOS VIII 2008, Samos.
2007
ICCD Acceptance rate 27%
(7) "Reducing Leakage Power in Peripheral Circuit of L2 Caches".
Houman Homayoun and Alexander V. Veidenbaum. In Proceedings of XXV IEEE International Conference on Computer Design, ICCD 2007. Lak.
2006
UCAS-2
(6) "Using Lazy Instruction Prediction to Reduce Processor Wakeup Power Dissipation".
Houman Homayoun and Amirali Baniasadi. The 2nd workshop on unique chips and systems, in conjunction with IEEE International Symposium on Performanc.
SAMOS
(5) "Reducing Execution Unit Leakage Power in Embedded Processors".
Houman Homayoun and Amirali Baniasadi. The 6th International Conference on Embedded Computer Systems, SAMOS VI-2006. Samos, Greece.
CCECE
(4) "Reducing the Instruction Queue Leakage Power in Superscalar Processor".
Houman Homayoun and Ted H. Szymanski. The 19th Annual Canadian Conference on Electrical and Computer Engineering, CCECE-2006, Ottawa, Canada.
2005
NEWCAS
(3) "Thread Scheduling Based on Low Quality Instruction Prediction for Simultaneous Multithreaded Processors".
Houman Homayoun, Kin F. Li and Setareh Rafatirad. The 3rd International IEEE NorthEast Workshop on Circuits and Systems, IEEE-NEWCAS 2005. Montreal, Canada.
VLSI-SOC
(2) "Analysis of Functional Unit Power Gating in Embedded Processors".
Houman Homayoun and Amirali Baniasadi. IFIP International Conference on Very Large Scale Integration System on Chip IFIP VLSI-SOC 2005. Perth, Wetsre.
PACRIM
(1) "Functional Unit Power Gating in Simultaneous Multithreaded Processors".
Houman Homayoun, Kin F. Li. and Setareh Rafatirad. The IEEE Pacific Rim Conference on Communications, Computers and Signal Processing IEEE-PACRIM 2005.