EEC180 Tutorial: Using Altera/Intel M9K Memory Blocks

EEC180, Digital Systems II


M9K memories are Altera's embedded high-density memory arrays. Nearly all modern FPGAs include something similar of varying sizes.

The M9Ks contain 8192 bits per block (9216 including parity bits) and are capable of very flexible port configurations. The best place to begin reading for more M9K memory block details are the M9K Handout and documentation covering the Max 10 FPGA.

This tutorial seems to pertain to only the DE10-Lite board since "Single uncompressed image" is not an option for Cyclone V device which is used on the DE1-SoC board.

Usage with Quartus



EEC 180 | B. Baas | ECE Dept. | UC Davis
2022/04/15  Added note regarding Cyclone V device.
2018/06/06  Minor edits (BB)
Written by Bevan Baas